Skip to content

Commit 11dce9e

Browse files
committed
added conversion for time and cap units for PDK 0.15
Signed-off-by: Jeff Ng <jeffng@precisioninno.com>
1 parent b2d2247 commit 11dce9e

File tree

11 files changed

+54
-26
lines changed

11 files changed

+54
-26
lines changed
Lines changed: 9 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -1,9 +1,16 @@
11
# Derived from cva6_synth.tcl and Makefiles
22

3+
source $::env(PLATFORM_DIR)/util.tcl
4+
35
set clk_name main_clk
46
set clk_port clk_i
57
set clk_ports_list [list $clk_port]
68
set clk_period 1125
7-
set input_delay 0.46
8-
set output_delay 0.11
9+
10+
convert_time_value clk_period
11+
12+
set input_delay [convert_time_value 0.46]
13+
set output_delay [convert_time_value 0.11]
14+
15+
916
create_clock [get_ports $clk_port] -name $clk_name -period $clk_period

flow/designs/rapidus2hp/cva6/constraint_demo.sdc

Lines changed: 0 additions & 9 deletions
This file was deleted.

flow/designs/rapidus2hp/cva6/opt_constraint.sdc

Lines changed: 0 additions & 9 deletions
This file was deleted.

flow/designs/rapidus2hp/ethmac/constraint.sdc

Lines changed: 11 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -1,7 +1,12 @@
1+
source $::env(PLATFORM_DIR)/util.tcl
2+
13
set top_clk_name wb_clk_i
24
set clk_period 875
35
set clk_io_pct 0.2
46
set clk_port [get_ports $top_clk_name]
7+
8+
convert_time_value clk_period
9+
510
create_clock -name $top_clk_name -period $clk_period $clk_port
611
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]
712
set_input_delay [expr { $clk_period * $clk_io_pct }] -clock $top_clk_name \
@@ -12,6 +17,9 @@ set_output_delay [expr { $clk_period * $clk_io_pct }] -clock $top_clk_name \
1217
set tx_clk_name mtx_clk_pad_i
1318
set tx_clk_port [get_ports $tx_clk_name]
1419
set tx_clk_period 300
20+
21+
convert_time_value tx_clk_period
22+
1523
create_clock -name $tx_clk_name -period $tx_clk_period $tx_clk_port
1624
set mtx_non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] \
1725
$tx_clk_port]
@@ -23,6 +31,9 @@ set_output_delay [expr { $tx_clk_period * $clk_io_pct }] -clock $tx_clk_name \
2331
set rx_clk_name mrx_clk_pad_i
2432
set rx_clk_port [get_ports $rx_clk_name]
2533
set rx_clk_period 110
34+
35+
convert_time_value rx_clk_period
36+
2637
create_clock -name $rx_clk_name -period $rx_clk_period $rx_clk_port
2738
set mrx_non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] \
2839
$rx_clk_port]

flow/designs/rapidus2hp/gcd/constraint.sdc

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -1,10 +1,14 @@
1+
source $::env(PLATFORM_DIR)/util.tcl
2+
13
current_design gcd
24

35
set clk_name core_clock
46
set clk_port_name clk
57
set clk_period 100
68
set clk_io_pct 0.2
79

10+
convert_time_value clk_period
11+
812
set clk_port [get_ports $clk_port_name]
913

1014
create_clock -name $clk_name -period $clk_period $clk_port
Lines changed: 6 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -1,12 +1,16 @@
1+
source $::env(PLATFORM_DIR)/util.tcl
2+
13
#set sdc_version 2.1
24
set sdc_version 1.4
35
current_design hercules_idecode
46

57
set clk_period 250
68

9+
convert_time_value clk_period
10+
711
set_max_fanout 32 [current_design]
8-
set_load 10 [all_outputs]
9-
set_max_capacitance 10 [all_inputs]
12+
set_load [convert_cap_value 10] [all_outputs]
13+
set_max_capacitance [convert_cap_value 10] [all_inputs]
1014

1115
create_clock -name "clk" -add -period $clk_period \
1216
-waveform [list 0.0 [expr 0.5*$clk_period]] [get_ports clk]
Lines changed: 6 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -1,12 +1,16 @@
1+
source $::env(PLATFORM_DIR)/util.tcl
2+
13
#set sdc_version 2.1
24
set sdc_version 1.4
35
current_design hercules_is_int
46

57
set clk_period 250
68

9+
convert_time_value clk_period
10+
711
set_max_fanout 32 [current_design]
8-
set_load 10 [all_outputs]
9-
set_max_capacitance 10 [all_inputs]
12+
set_load [convert_cap_value 10] [all_outputs]
13+
set_max_capacitance [convert_cap_value 10] [all_inputs]
1014

1115
create_clock -name "clk" -add -period $clk_period \
1216
-waveform [list 0.0 [expr { 0.5 * $clk_period }]] [get_ports clk]

flow/designs/rapidus2hp/hercules_is_int/prects_prop.sdc

Lines changed: 6 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -1,12 +1,16 @@
1+
source $::env(PLATFORM_DIR)/util.tcl
2+
13
#set sdc_version 2.1
24
set sdc_version 1.4
35
current_design hercules_is_int
46

57
set clk_period 250
68

9+
convert_time_value clk_period
10+
711
set_max_fanout 32 [current_design]
8-
set_load 10 [all_outputs]
9-
set_max_capacitance 10 [all_inputs]
12+
set_load [convert_cap_value 10] [all_outputs]
13+
set_max_capacitance [convert_cap_value 10] [all_inputs]
1014

1115
create_clock -name "clk" -add -period $clk_period \
1216
-waveform [list 0.0 [expr { 0.5 * $clk_period }]] [get_ports clk]

flow/designs/rapidus2hp/ibex/constraint.sdc

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -1,10 +1,14 @@
1+
source $::env(PLATFORM_DIR)/util.tcl
2+
13
set clk_name core_clock
24
set clk_port_name clk_i
35
set clk_period 590
46
set clk_io_pct 0.2
57

68
set clk_port [get_ports $clk_port_name]
79

10+
convert_time_value clk_period
11+
812
create_clock -name $clk_name -period $clk_period $clk_port
913

1014
set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port]

flow/designs/rapidus2hp/ibex/constraint_pos_slack.sdc

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -1,8 +1,12 @@
1+
source $::env(PLATFORM_DIR)/util.tcl
2+
13
set clk_name core_clock
24
set clk_port_name clk_i
35
set clk_period 1468
46
set clk_io_pct 0.2
57

8+
convert_time_value clk_period
9+
610
set clk_port [get_ports $clk_port_name]
711

812
create_clock -name $clk_name -period $clk_period $clk_port

0 commit comments

Comments
 (0)