File tree Expand file tree Collapse file tree 11 files changed +54
-26
lines changed Expand file tree Collapse file tree 11 files changed +54
-26
lines changed Original file line number Diff line number Diff line change 11# Derived from cva6_synth.tcl and Makefiles
22
3+ source $::env(PLATFORM_DIR) /util.tcl
4+
35set clk_name main_clk
46set clk_port clk_i
57set clk_ports_list [list $clk_port ]
68set clk_period 1125
7- set input_delay 0.46
8- set output_delay 0.11
9+
10+ convert_time_value clk_period
11+
12+ set input_delay [convert_time_value 0.46]
13+ set output_delay [convert_time_value 0.11]
14+
15+
916create_clock [get_ports $clk_port ] -name $clk_name -period $clk_period
Load Diff This file was deleted.
Load Diff This file was deleted.
Original file line number Diff line number Diff line change 1+ source $::env(PLATFORM_DIR) /util.tcl
2+
13set top_clk_name wb_clk_i
24set clk_period 875
35set clk_io_pct 0.2
46set clk_port [get_ports $top_clk_name ]
7+
8+ convert_time_value clk_period
9+
510create_clock -name $top_clk_name -period $clk_period $clk_port
611set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port ]
712set_input_delay [expr { $clk_period * $clk_io_pct }] -clock $top_clk_name \
@@ -12,6 +17,9 @@ set_output_delay [expr { $clk_period * $clk_io_pct }] -clock $top_clk_name \
1217set tx_clk_name mtx_clk_pad_i
1318set tx_clk_port [get_ports $tx_clk_name ]
1419set tx_clk_period 300
20+
21+ convert_time_value tx_clk_period
22+
1523create_clock -name $tx_clk_name -period $tx_clk_period $tx_clk_port
1624set mtx_non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] \
1725 $tx_clk_port ]
@@ -23,6 +31,9 @@ set_output_delay [expr { $tx_clk_period * $clk_io_pct }] -clock $tx_clk_name \
2331set rx_clk_name mrx_clk_pad_i
2432set rx_clk_port [get_ports $rx_clk_name ]
2533set rx_clk_period 110
34+
35+ convert_time_value rx_clk_period
36+
2637create_clock -name $rx_clk_name -period $rx_clk_period $rx_clk_port
2738set mrx_non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] \
2839 $rx_clk_port ]
Original file line number Diff line number Diff line change 1+ source $::env(PLATFORM_DIR) /util.tcl
2+
13current_design gcd
24
35set clk_name core_clock
46set clk_port_name clk
57set clk_period 100
68set clk_io_pct 0.2
79
10+ convert_time_value clk_period
11+
812set clk_port [get_ports $clk_port_name ]
913
1014create_clock -name $clk_name -period $clk_period $clk_port
Original file line number Diff line number Diff line change 1+ source $::env(PLATFORM_DIR) /util.tcl
2+
13# set sdc_version 2.1
24set sdc_version 1.4
35current_design hercules_idecode
46
57set clk_period 250
68
9+ convert_time_value clk_period
10+
711set_max_fanout 32 [current_design]
8- set_load 10 [all_outputs]
9- set_max_capacitance 10 [all_inputs]
12+ set_load [convert_cap_value 10] [all_outputs]
13+ set_max_capacitance [convert_cap_value 10] [all_inputs]
1014
1115create_clock -name " clk" -add -period $clk_period \
1216 -waveform [list 0.0 [expr 0.5*$clk_period ]] [get_ports clk]
Original file line number Diff line number Diff line change 1+ source $::env(PLATFORM_DIR) /util.tcl
2+
13# set sdc_version 2.1
24set sdc_version 1.4
35current_design hercules_is_int
46
57set clk_period 250
68
9+ convert_time_value clk_period
10+
711set_max_fanout 32 [current_design]
8- set_load 10 [all_outputs]
9- set_max_capacitance 10 [all_inputs]
12+ set_load [convert_cap_value 10] [all_outputs]
13+ set_max_capacitance [convert_cap_value 10] [all_inputs]
1014
1115create_clock -name " clk" -add -period $clk_period \
1216 -waveform [list 0.0 [expr { 0.5 * $clk_period }]] [get_ports clk]
Original file line number Diff line number Diff line change 1+ source $::env(PLATFORM_DIR) /util.tcl
2+
13# set sdc_version 2.1
24set sdc_version 1.4
35current_design hercules_is_int
46
57set clk_period 250
68
9+ convert_time_value clk_period
10+
711set_max_fanout 32 [current_design]
8- set_load 10 [all_outputs]
9- set_max_capacitance 10 [all_inputs]
12+ set_load [convert_cap_value 10] [all_outputs]
13+ set_max_capacitance [convert_cap_value 10] [all_inputs]
1014
1115create_clock -name " clk" -add -period $clk_period \
1216 -waveform [list 0.0 [expr { 0.5 * $clk_period }]] [get_ports clk]
Original file line number Diff line number Diff line change 1+ source $::env(PLATFORM_DIR) /util.tcl
2+
13set clk_name core_clock
24set clk_port_name clk_i
35set clk_period 590
46set clk_io_pct 0.2
57
68set clk_port [get_ports $clk_port_name ]
79
10+ convert_time_value clk_period
11+
812create_clock -name $clk_name -period $clk_period $clk_port
913
1014set non_clock_inputs [lsearch -inline -all -not -exact [all_inputs] $clk_port ]
Original file line number Diff line number Diff line change 1+ source $::env(PLATFORM_DIR) /util.tcl
2+
13set clk_name core_clock
24set clk_port_name clk_i
35set clk_period 1468
46set clk_io_pct 0.2
57
8+ convert_time_value clk_period
9+
610set clk_port [get_ports $clk_port_name ]
711
812create_clock -name $clk_name -period $clk_period $clk_port
You can’t perform that action at this time.
0 commit comments